Add files via upload

This commit is contained in:
akag98 2018-10-07 14:39:28 +05:30 committed by Luke Oliff
parent 0484bb6d2e
commit 7c3777b9de
1 changed files with 6 additions and 0 deletions

6
akag98.cpp Normal file
View File

@ -0,0 +1,6 @@
#include<iostream>
using namespace std;
int main(){
cout<<"Hello World"<<endl;
}